Gå til innhold

Noen som kan forklare JK vippe som teller?


Anbefalte innlegg

Hei

 

Jeg sitter her og leser noe digitalteknikk og ser akkurat nå på vipper. Det meste virker ganske greit og forståelig, men jeg forstår ikke prinsippet med en teller av JK vipper. Jeg blir heller ikke noe klokere av å studere boka nøyere. :blush:

 

Er det noen som kan forklare hvordan det funker?

 

post-84592-1149438056_thumb.jpg

post-84592-1149438066_thumb.jpg

Lenke til kommentar
Videoannonse
Annonse

kan forklare enkelt. Når man legger J og K høy så blir vippen "toggel" det vil si at den bytter status på utgangen for hver klokkepuls. Klokkepulsen på vippe B er koblet til utgangen Qa så klokkepulsen på b blir "aktivert" hver gang Qa går fra lav til høy

 

Altså:

 

Qa er høy, så kommer en puls og Qa blir lav, ved neste puls blir Qa høy igjen og dette gir vippe nummer 2 en puls inn på klokkeinngangen, da bytter den status på utgangen....

 

sekvensen er :

 

Qb Qa (Til talls verdi)

 

0--- 0------ 0

0--- 1------ 1

1--- 0------ 2

1--- 1------ 3

 

så begynner det på nytt igjen.

 

Kanskje denne forklaringen hjelper, kanskje ikke......

Endret av Behydro
Lenke til kommentar

Jeg foreslår at du først og fremst går til læreren din og ber ham om å oppdatere pensum littegrann, siden JK-vipper overhodet ikke blir brukt i industrien i dag. skal man ha en teller, bruker man ganske enkelt en integrert tellekrets for enkle designs, evt programmerbare krester eller prosessorer for mer kompliserte designs.

 

Når det er sagt er virkemåten til en asynkron teller at utgangen på hver bit (JK vippe eller D-vippe med negativ tilbakekobling) flipper hver gang den får en klokkepuls (toggle-funksjon), og videre at utgangen på hver bit er koblet til klokkeinngangen på den neste vippen. på denne måten halveres frekvensen for hver bit, og du har en binær tellesekvens.

 

Det er bare å legge til så mange bits man vil ja. Telleren vil telle til 2^n-1 med n bits, som du skrev.

Endret av kurant
Lenke til kommentar

Takker for svar. :thumbup:

 

Det hele ble mye klarere når jeg fikk fortalt det på andre måter enn slik det står i boka. Grunnen til at vi har det med i pensum antar jeg er fordi at vi skal ha bedre forståelse for JK vippen og videre hele datasystemer hvordan de er bygd opp, selv om den nesten ikke blir brukt lengre.

Endret av Jonulf
Lenke til kommentar
Jeg foreslår at du først og fremst går til læreren din og ber ham om å oppdatere pensum littegrann, siden JK-vipper overhodet ikke blir brukt i industrien i dag. skal man ha en teller, bruker man ganske enkelt en integrert tellekrets for enkle designs, evt programmerbare krester eller prosessorer for mer kompliserte designs.

6241105[/snapback]

Litt O.T. men…

 

Slikt er med i pensum for at en skal få se flere måter å lage ting på og for å gi mer innsikt i digital design (jo flere eksempler, jo bedre innsikt får du). Den brukes riktig nok ikke så mye (jeg har i alle fall ikke sett den mye enda, men så har jeg jo ikke hold på med elektronikk lenge da), men det er ikke en grunn til at den ikke skal kunne brukes i et design. Når du skal lage ting blir du ofte begrenset av hva som er tilgjengelig, og det er ikke sikkert at JK vipper er tilgjengelig. Er det ikke tilgjengelig må en finne en annen måte å lage ting på, men er det tilgjengelig bruker en jo en jk vippe om det er det en trenger nettopp fordi at den har andre egenskaper enn andre vipper. Når det gjelder tellere så finnes det mange måter å lage det på, men i dag så lager en kretser i høynivå språk (for det meste) og hvordan ting blir implementert blir i stor grad bestemt av synteseverktøy og de bibliotek som brukes.

En teller lages så enkelt som å si (VHDL):

 

teller: process (clk) is

begin

if (rising_edge(clk)) then

A <= A+1; --teller som teller +1 for hver positive klokkeflanke

end if;

end process;

 

en kan ikke ut i fra dette si noe om hvordan telleren lages, bare at en får en teller. Men det betyr ikke at du ikke bør vite hvordan en teller kan lages (på en eller flere måter).

 

eksempler på hvordan vipper kan lages er f.eks. slik:

http://www.interfacebus.com/Design_VHDL.html

Endret av Dr_VingTor
Lenke til kommentar

"men det er ikke en grunn til at den ikke skal kunne brukes i et design. "

 

la oss heller snu på det: Det er ingen grunn til at den SKAL brukes i et design.

 

JK-vipper ble skapt i digitalteknikkens barndom, og er kun interessante dersom man ønsker å bygge en teller fra bunnen bit for bit. Dette er helt irrelevant med dagens teknologi, og de vil aldri inngå i designs laget utenfor sparsomt utrustede hobbyrom og skoler.

 

Funksjonelt er en JK vippe ekvivalent med en D-vippe med noe ekstralogikk. Å heller lære dette designet vil være mye mer relevant og like pedagogisk. Syntetiseringen du nevner vil generere grunnleggende logiske funksjoner som AND, OR, XOR, NOT, og D-vipper, eventuelt høynivåfunksjoner fra diverse biblioteker. JK-vipper er en abstraksjon som er fullstendig irrelevant i dag. Å lære den er derfor like meningsløst når man like lett kan lære den asynkrone telleren realisert vha D-vipper.

 

Det er ikke verdens undergang å lære JK såklart, det er bare fullstendig meningsløst, er vel mer en indikasjon på hvor foreldet undervisningen er mange steder.

Endret av kurant
Lenke til kommentar

kan selfølgelig lages fra andre kretser, og er forsåvidt enig i at å lære hvordan den kan lages sammen med D-vipper er like bra (eller bedre) med tanke på dagens teknologi... når jeg tenker meg om så har jeg ikke sett en jk vippe etter at jeg lærte hvordan den virket... har bare brukt D-vipper etter det...

 

btw: kom på en grunn til at den fremdeles undervises... lærebøker på dette feltet lages ikke ofte (bøkene mine nærmer seg 10 år og er kjøpt de to siste årene), det skjer jo ikke mye forrandring, og siden det er dyrt å lage nye bøker så inneholder de fleste bøker fremdeles jk...

Lenke til kommentar

btw: kom på en grunn til at den fremdeles undervises... lærebøker på dette feltet lages ikke ofte (bøkene mine nærmer seg 10 år og er kjøpt de to siste årene), det skjer jo ikke mye forrandring, og siden det er dyrt å lage nye bøker så inneholder de fleste bøker fremdeles jk...

6243989[/snapback]

 

nå blir det jo endringer på det...

det har jo blitt en nye "lærings reform" eller hva det heter i år.

så da blir trykt nye bøker til høstens skolestart ;)

(enda mere OT) :w00t:

Lenke til kommentar

Jepp, det blir såvidt meg bekjent i alle fall, nytt pensum fra og med neste år, så det dere etterlyser er på vei. Men det er nok ikke så enkelt som å "gå til læreren og be han endre pensum". Jeg fikk JK-vipper til eksamen når jeg gikk grunnkurs, og var følgende veldig glad for at læreren min ikke hadde samme syn på det som dere.

 

Men når det gjelder bruk av JK-vipper, så er det klart at dette er litt gårsdagens teknikk og at de ikke vil bli brukt i ett design laget i industrien. Men det kan fortsatt ha noen kjekke bruksområder for hobbyelektronikeren. Og i tillegg består mange integrerte kretser (som feks shift-register/binær/desimal/dekadetellere) i dag av en eller annen for vippe. Men slik utviklingen går trenger man mindre og mindre innsikt i digitalteknikk på dette nivået, og mer og mer innen programering.

 

Forøvrig husker jeg at vi på grunnkurs bygde opp vipper med enkle logiske funksjoner (NOG tror jeg det var), og disse kan jo igjen brytes ned helt ned til transistorer.

Lenke til kommentar

Opprett en konto eller logg inn for å kommentere

Du må være et medlem for å kunne skrive en kommentar

Opprett konto

Det er enkelt å melde seg inn for å starte en ny konto!

Start en konto

Logg inn

Har du allerede en konto? Logg inn her.

Logg inn nå
  • Hvem er aktive   0 medlemmer

    • Ingen innloggede medlemmer aktive
×
×
  • Opprett ny...